CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fft verilog

搜索资源列表

  1. cf_fft_1024_8

    1下载:
  2. 1024点的fft算法verilog实现程序,主要是通过verilog来实现fft算法- 1024 spot fft algorithm verilog realizes the procedure
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-13
    • 文件大小:11599
    • 提供者:ALEX
  1. fft1

    0下载:
  2. fft processor code working code in verilog--fft processor code working code in verilog-VVV
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:153766
    • 提供者:hr
  1. fsk

    0下载:
  2. 用Verilog语言在FPGA上实现FFT算法-With Verilog language FPGA to realize FFT algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:529
    • 提供者:lili
  1. cf_fft_latest.tar

    0下载:
  2. This a code for FFT in VHDL, Verilog & C Source: OpenCores.org-This is a code for FFT in VHDL, Verilog & C Source: OpenCores.org
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3125483
    • 提供者:Kiran
  1. fft_2048

    0下载:
  2. FPGA中基于2048点FFT的verilog程序-The FPGA verilog based on 2048 point FFT program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1691
    • 提供者:张磊
  1. buffterfly_radix4

    0下载:
  2. 16点的FFT蝶形运算,用于快速傅里叶变换并行实现,基于verilog语言编写,matlab仿真验证-a method based on 16-point fft butterfly,used to make fast fourier transform,language is verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:610
    • 提供者:wanghao
  1. fft_design_b.tech

    0下载:
  2. fft design for development in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:480499
    • 提供者:kiranbabu
  1. fft_16

    0下载:
  2. 基于FPGA用verilog语言实现16点FFT-16-point FFT FPGA-based verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1355
    • 提供者:王蕊
  1. pipelined_fft_256_latest.tar

    0下载:
  2. fft的源代码,内附有具体使用说明,用verilog编写-fft of source code, enclosing the specific instructions for use, written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:209782
    • 提供者:charley
  1. digital-signal-processing-with--fpga

    1下载:
  2. 数字信号处理用FPGA实现,其中包含常见的FFT,滤波器,自相关等用VHDL和Verilog语言实现的-digital signal processing with fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7065722
    • 提供者:张树林
  1. 64point_FFT

    1下载:
  2. 64点FFT代码 基4算法 Verilog-64-point FFT code radix-4 algorithm Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-09
    • 文件大小:6927360
    • 提供者:
  1. vFFT_veriloge

    0下载:
  2. verilog实现的FFT变换,经硬件测试其功能与AAltera的FFT IP核相近, -verilog realization of the FFT algorithm, its function is similar to the FFT IP AAltera the nuclear test by the hardware,
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:619179
    • 提供者:magnet
  1. fftverilog

    0下载:
  2. 用verilog 写的fft计算的程序,可以作为参考-Use verilog write FFT calculation procedures, can be used as a reference
  3. 所属分类:Other systems

    • 发布日期:2017-12-02
    • 文件大小:24431
    • 提供者:蔡金峰
  1. fft8_3

    0下载:
  2. this code for fft program written in verilog-this is code for fft program written in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:3463
    • 提供者:garggy
  1. fft128

    0下载:
  2. This 128 point fft code in verilog-This is 128 point fft code in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:3075
    • 提供者:garggy
  1. fft16

    1下载:
  2. 256点的FFT/IFFT变换VERILOG代码核。-256-point FFT/IFFT transform VERILOG code that nuclear.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-03
    • 文件大小:6195
    • 提供者:Solomon
  1. 3780_fft

    0下载:
  2. verilog的3780点的fft实现代码,在国家的数字电视信号调制中应该,板子上调时通过-verilog 3780 points the fft to achieve code, should the country' s digital TV signal modulation, the board raised through
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-28
    • 文件大小:296666
    • 提供者:zhaoshuai
  1. fft64

    0下载:
  2. verilog hdl 编写的64点fft代码,适合很多芯片-coded by verilog hdl that implement 64 point fft, suite to many core
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-11
    • 文件大小:2512
    • 提供者:tanzh
  1. QuartusII

    0下载:
  2. 此模块为altera官网提供模块,使用硬件描述语言Verilog语言编写的FFT基于FPGA实现。-This module provides a module for the Altera website, the use of hardware descr iption language Verilog language FFT based on FPGA.
  3. 所属分类:Other systems

    • 发布日期:2017-11-18
    • 文件大小:1300898
    • 提供者:庄德坤
  1. IFFT

    0下载:
  2. 基于verilog HDL的FFT功能实现-Verilog HDL-based FFT functions
  3. 所属分类:source in ebook

    • 发布日期:2017-11-20
    • 文件大小:422592
    • 提供者:frank li
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com